전체 글(366)
-
MAX PLUS 설지,사용법 2010.10.04
-
VHDL의 예[AND]
VHDL 엔티티 이름이 파일명과 같아야함. 확장자 .vhd MAX PLUS -> new Text editor save -> compile -> waveform VHDL의 예 [AND] ## package 부분 library ieee; use ieee.std_logic_1164.all; ## VHDL 에서 사용할 라이브러리를 지정하는 명령어 ## 두번째 줄은 ieee라는 라이브러리에서std_logic_1164라는 이름의 패키지를 가져오겠다는 뜻. Entity and2 is port [ A,B : in std_logic; OUTPUT : out std_logic]; end and2; ## 이부분을entity라고 부른다. ## 입력,출력을 정해준다. ## 첫 번째 줄은entity의 이름을 써준다. ## por..
2010.10.04 -
Win 7 정품인증 2010.10.03
-
FLASH 5 디자인 작품[1]
effects PARK -> 그림자 JOON -> 투명 YEONG -> 사진을 이용한 색채우기(비트맵) 입체할때 각각 그라데이션 줄것 모서리 면 마다
2010.10.03 -
컴퓨터 앞에 앉으면 단 것이 당기는 이유
혈당 수치 변하고 식욕 호르몬 증가가 원인 컴퓨터 작업에 집중하다보면 초콜릿과 같은 단 음식이 생각나 먹게 되고 이것이 궁극적으로 비만의 원인이 된다는 연구결과가 나왔다. 덴마크 코펜하겐대학교 장-필립 차푸트 박사는 한 그룹의 여학생에게는 컴퓨터 화면에 있는 중요한 문서의 내용을 파악하고 집중하도록 했다. 반면 다른 그룹의 여학생에게는 컴퓨터 작업을 하지 않고 45분 동안 쉬게 했다. 그 후 전체 여학생들을 식당으로 데려가 음식 먹는 것을 관찰했다. 컴퓨터에 집중했던 그룹의 여학생들은 초콜릿 같은 달고 살찌는 음식을 더 많이 찾았고 필요한 양보다 230칼로리를 더 먹었다. 차푸트 박사는 “컴퓨터 작업에 열중하면 뇌가 더 많은 칼로리가 필요하다는 신호를 보내게 된다”며 “컴퓨터 게임이나 TV 시청도 마찬가..
2010.10.01 -
‘악수의 공식,’ 꼭 쥐고 세 번 쯤 흔들어라
악수하는 습관에서 성격까지 엿볼 수 있다 악수하는 습관을 통해 상대방 성격까지 엿볼 수 있으며 가장 바람직한 악수의 공식은 굳게 손을 쥔 상태에서 세 번 쯤 흔드는 것이라는 연구결과가 나왔다. 영국 맨체스터대학교 심리과학 제오프리 베티 교수는 가장 완벽한 악수 공식을 찾기 위해 자동차 회사 시보레 직원들을 상대로 악수에 대해 설문조사를 했다. 특히 자동차사 종사자들이야말로 ‘고객응대요령 5단계’를 따로 익힐 만큼 악수를 잘하는 것이 직장생활의 성패를 좌우한다. 보통 사람들은 평생 1만5000번쯤 악수를 하게 된다고 한다. 뜻밖에도 시보레 직원 10명 중 7명꼴로 압도적인 숫자가 악수를 해야 하는 상황에서 자신감이 없다고 답했다. 또 5명 중 1명은 악수하는 것을 아예 꺼려했고 어떻게 해야 하는지 잘 알지..
2010.10.01