programming(181)
-
[윈도우프로그래밍] 배열 선언,생성시 주의
string str[] = new string[5]; 이런 식으로 배열선언을 하면 다음과 같이 오류가 납니다. 배열 선언자가 잘못되었습니다. 관리되는 배열을 선언하려면 차수 지정자가 변수 식별자보다 앞에 와야 합니다. 고정 크기 버퍼 필드를 선언하려면 fixed 키워드를 필드 형식 앞에 사용하십시오. 다음과 같이 배열을 선언/생성 합니다. string []str = new string[5];
2010.10.04 -
logic gate[and gate,or gate,not gate]
.... 논리 게이트 제작
2010.10.04 -
가산기,감산기 2010.10.04
-
MAX PLUS 설지,사용법 2010.10.04
-
VHDL의 예[AND]
VHDL 엔티티 이름이 파일명과 같아야함. 확장자 .vhd MAX PLUS -> new Text editor save -> compile -> waveform VHDL의 예 [AND] ## package 부분 library ieee; use ieee.std_logic_1164.all; ## VHDL 에서 사용할 라이브러리를 지정하는 명령어 ## 두번째 줄은 ieee라는 라이브러리에서std_logic_1164라는 이름의 패키지를 가져오겠다는 뜻. Entity and2 is port [ A,B : in std_logic; OUTPUT : out std_logic]; end and2; ## 이부분을entity라고 부른다. ## 입력,출력을 정해준다. ## 첫 번째 줄은entity의 이름을 써준다. ## por..
2010.10.04 -
안드로이드 한빛미디어 예제소스 2010.09.30