programming/architecture(22)
-
logic gate[and gate,or gate,not gate]
.... 논리 게이트 제작
2010.10.04 -
가산기,감산기 2010.10.04
-
MAX PLUS 설지,사용법 2010.10.04
-
VHDL의 예[AND]
VHDL 엔티티 이름이 파일명과 같아야함. 확장자 .vhd MAX PLUS -> new Text editor save -> compile -> waveform VHDL의 예 [AND] ## package 부분 library ieee; use ieee.std_logic_1164.all; ## VHDL 에서 사용할 라이브러리를 지정하는 명령어 ## 두번째 줄은 ieee라는 라이브러리에서std_logic_1164라는 이름의 패키지를 가져오겠다는 뜻. Entity and2 is port [ A,B : in std_logic; OUTPUT : out std_logic]; end and2; ## 이부분을entity라고 부른다. ## 입력,출력을 정해준다. ## 첫 번째 줄은entity의 이름을 써준다. ## por..
2010.10.04